AMD Spartan UltraScale+ FPGA

Spartan™ UltraScale+™ FPGA Family

High I/O, Low-Power FPGAs with State-of-the-Art Security Features

Product Advantages

Product Advantage

With more devices and sensors connected at the edge, there's a need for secure devices that can handle lots of data. The new cost-optimized AMD high I/O Spartan™ UltraScale+™ FPGA family helps designers rapidly move through these challenges by delivering low cost, power efficiency, and modern security features.

High I/O, Low-Power & State-of-the-Art Security Features

  • Industry’s highest I/O to logic cell ratio in FPGAs built in 28nm and lower process technology1
  • 16nm FinFET process delivers up to 30% lower total power consumption versus the previous generation2

Faster Design Convergence with Proven Design Tools

  • Robust and high-quality Vivado™ Design Suite in-production since 2012
  • Single tool covering simulation to verification for entire FPGA portfolio

Design Once with a Trusted Supplier

  • Nearly 40 years in the FPGA business with billions of devices shipped
  • Over 15 years product lifecycle, and in-field upgradeability for maximum design longevity
Accelerating Time-to-Market for Cost-Sensitive Designs

Accelerating Time-to-Market

Support Cost-Sensitive Designs Without Difficult Trade-offs  

AMD Spartan™ UltraScale+™ FPGAs have it all—delivering a competitive balance of price, power, features, and size to support board management control, I/O expansion, IOT, networking use cases, and more.


Fundamental Building Block

The AMD Spartan™ UltraScale+™ FPGA presents advanced I/O capabilities, low-power consumption, and state-of-the-art security features. Equipped with high-speed transceivers, substantial built-in and external memory, and PCIe® Gen4, this family provides robust solutions for a broad array of applications.

The AMD Spartan UltraScale+ FPGA offers comprehensive I/O capabilities with a mix of there different types of I/O, High- Density I/O (HDIO) up to 3.3V, High-Performance I/O (HPIO) up to 1.8V, supporting 2500 Mb/s MIPI and 1600 Mb/s LVDS, and XP5IO up to 1.5V, supporting 3200 Mb/s MIPI,1800 Mb/s LVDS. The FPGA also offers a combination of on-chip memory as block RAM for low latency and high throughput, and UltraRAM that provides massive on-chip memory in addition to external memory LPDDR4x and LPDDR5 via hardened memory controllers up to 4266 Mb/s and DDR4 soft memory controller IP up to 2400 Mb/s. For applications that require high-performance transceivers, the Spartan UltraScale+ FPGA supports GTH transceivers supporting up to 16.3 Gb/s and single oscillator for fabric, and SerDes eliminates extra clocking components in addition to PCIe Gen4 x8 compliant. Security features as AES-GCM decryption with eFUSE byte striping to ensure speedy configurations are supported, and each device is unique and identifiable through Physical Unclonable Function True Random Number Generator, essential for robust and reliable encryption.

Powering Embedded Systems

Powering Embedded Systems

Meet the Performance Requirements of I/O-Intensive Applications

High I/O, low-power, robust security features, and advanced connectivity, all in a small package—learn five reasons to choose AMD Spartan™ UltraScale+™ FPGAs for your designs.


Unlocking Innovation with Cost-Optimized FPGAs and Adaptive SoCs

Innovations like machine vision and AI at the edge require new architectures that are flexible, energy efficient, and low cost. This ebook explores the differences between FPGAs, adaptive SoCs, ASICs, and other standard processors to help you decide which approach is best for your application. Learn how you can elevate your next design to meet the increasing complexity of today’s innovations without compromising on performance or efficiency.

Unlocking Innovation with Cost-Optimized FPGAs and Adaptive SoCs eBook

Features and Benefits

Flexible I/O Interfaces

Flexible I/O Interfaces

AMD Spartan™ UltraScale+™ FPGAs offer high GPIO counts, supporting both legacy and emerging protocols, and 16.3 Gb/s transceivers for networking, video, and vision applications. The devices are also in compliance with industry standards, such as PCIe® Gen4, 10GE Vision, CoaXPress 2.1, and 12G-SDI, to help accelerate time to market.

Low Power

Low Power

AMD Spartan UltraScale+ FPGAs leverage a 16 nm architecture and offer up to a 30% reduction in total power compared to the previous 28 nm devices on lower densities.3 The larger density devices, which include hardened DDR and PCIe interfacing IP, offer an improved power efficiency of up to 60% compared to the previous 28 nm devices4, thereby enhancing overall system performance.

State-of-the-Art Security

State-of-the-Art Security

AMD Spartan UltraScale+ FPGAs provide robust, multi-tiered security with NIST-approved post-quantum cryptography, unique device-identification via the physical unclonable function, permanent tamper penalty for device protection, side-attack protection via DPA countermeasures, and adaptable AES-CGM decryption to meet evolving threats.

Hardened Memory Controller

Hardened Memory Controller

Complementing the energy efficiency, performance is enhanced by hard IPs, such as the hardened LPDDR4x/5 memory controller, available in select devices. This hardened memory controller allows direct and high throughput access to up to 4.2 Gb/s of memory and reduces FPGA fabric resource utilization for high-value design blocks.

MIPI and LVDS Performance

MIPI and LVDS Performance

Featuring cost-optimized FPGAs that offer up to 3.2 Gb/s of MIPI performance, the Spartan UltraScale+ family supports advanced camera sensor capture and display. Complete MIPI IP and reference design solutions are also available here. The family’s LVDS performance also enables a range of other protocols, including SLVS-EC (for CMOS image sensors).

Scalability

Scalability

The production-proven UltraScale™ architecture, built on TSMC’s 16 nm low-power FinFET process, allows scalability to other 16 nm families as well as the broader portfolio. Developers can leverage the same IP, tool flow, and ecosystem to preserve design investment, enabling a reusable platform across a multi-product portfolio.

  1. Based on product datasheets for AMD Spartan UltraScale+ FPGAs versus Efinix, Intel, Lattice, and Microchip, as of February 2024, comparing the total I/O to logic cell ratios of comparable 28 nm and lower node size FPGAs. (SUS-11)
  2. Projection is based on AMD labs internal analysis in January 2024, using Total Power calculation (Static plus Dynamic power) based on the difference in logic cell count of an AMD Artix™ UltraScale+ AU7P FPGA, to estimate the power of a 16 nm AMD Spartan UltraScale+ SU35P FPGA versus a 28 nm AMD Artix 7 7A35T FPGA, using Xilinx Power Estimator (XPE) tool version 2023.1.2. Actual Total Power will vary when final products are released in market, based on configuration, design, usage, and other factors. (SUS-03)
  3. Projection is based on AMD labs internal analysis in January 2024, using Total Power calculation (Static plus Dynamic power) based on the difference in logic cell count of an AMD Artix™ UltraScale+ AU7P FPGA, to estimate the power of a 16 nm AMD Spartan UltraScale+ SU35P FPGA versus a 28 nm AMD Artix 7 7A35T FPGA, using Xilinx Power Estimator (XPE) tool version 2023.1.2. Actual Total Power will vary when final products are released in market, based on configuration, design, usage, and other factors. (SUS-03)
  4. Projection is based on AMD internal analysis, as of January 2024, using a Total Power calculation (Static plus Dynamic power) based on the logic scale count of an Artix UltraScale AU7P FPGA to estimate the total power of Spartan UltraScale+ SU200P FPGA versus Artix 7 7A200T FPGA, using Xilinx Power Estimator (XPE) tool version 2023.1.2. Actual Total power interfacing may vary when products are released in market based on configuration, design, usages, and other factors. (SUS-06)
Applications

Applications

Flexible I/O for Machine Vision

Flexible I/O for Machine Vision

AMD Spartan™ UltraScale+™ FPGAs provide low-latency interfacing and processing for various sensors and connectivity standards of machine vision systems in industrial and medical fields. The FPGA family also offers compatibility with a wide range of communication protocols and are available in both compact and low-power devices.

Read more >


Versatile Data Acquisition for Industrial and Healthcare

As the demand for advanced data acquisition continues to grow in the market, the AMD Spartan™ UltraScale+™ FPGA offers flexible I/Os, on-chip memory, and efficient processing at the edge. Its low-power consumption, scalable networking, and advanced security features make it ideal for applications like sensor aggregation and point-of-care medical systems.

Read more >

Versatile Data Acquisition for Industrial and Healthcare

Cost-Effective I/O Expansion & Baseboard Management Controller (BMC) for Data Center

Cost-Effective I/O Expansion & Baseboard Management Controller (BMC) for Data Center

As server manufacturers’ motherboard designs are becoming more complex, the Spartan™ UltraScale+™ FPGA can provide power management, flexible I/O, and reference designs, such as a general board-management controller, to address the data center server I/O market. The Spartan UltraScale+ FPGA is positioned as a family of devices that can scale with various server host processor motherboards and board management controller cards.


Accelerating Broadcasting Efficiency for Video Capture Cards

Harnessing the power of advanced broadcast technology, the Spartan™ UltraScale+™ FPGA offers transformative capabilities. With PCIe® Gen4 and hard memory controllers LPDDR4x/5, these cards successfully ingest and transfer high-quality baseband video. Real-time transfer and high-efficiency processing simplify the video capture process and streamline the broadcasting workflow.

Accelerating Broadcasting Efficiency for Video Capture Cards
Product Table

Product Table

  SU10P SU25P SU35P SU50P SU55P SU65P SU100P SU150P SU200P
System Logic Cells (K) 11 22 36 52 52 65 100 137 218
DSP Slices 24 36 48 96 96 144 144 384 384
Total RAM (Mb)1 1.77 1.84 1.93 2.91 2.91 4.31 5.89 11.65 26.79
Transceivers (16.375 Gb/s or 12.5 Gb/s) 0 0 0 0 0 4 4 8 8
PCI Express® 0 0 0 0 0 1x Gen4x4 1x Gen4x4 1x Gen4x8 or 2x Gen4x4 1x Gen4x8 or 2x Gen4x4
Maximum I/O Pins 304 304 304 388 352 478 478 572 572
Download Product Selection Guide

* Total RAM= Maximum Distributed RAM + Total Block RAM

Documentation

Documentation

Default Default Title Document Type Date
Get Started

Get Started

Early Access Program

Spartan™ UltraScale+™ FPGA silicon samples will be available in H1’2025. If you are interested in getting early access to the Spartan UltraScale+ family in the Vivado™ design suite and sample silicon, please contact your AMD sales representative.


Video Tutorials

Video tutorials guide the user through the steps to compile, modify, build, and debug AMD FPGAs.


Training Courses

AMD hands-on FPGA and design training provides the knowledge you need to begin designing right away. For the entire catalog of courses, see here.


Popular Resources

Design advisories provide answers for the most common issues.